(** to designate keynote talk, * to designate invite talk)
Monday, March 18, 2019 Shanghai International Convention Center
Joint Session: Symposium II and Symposium III-Lithography/Etch
Meeting Room:5th Floor Yangtze River Hall 长江厅
Session Chairs: Kafai Lai & Ying Zhang
13:30-13:35 | Opening Remarks |
Kafai Lai / Ying Zhang | |
**13:35-14:05 | Advanced Etch Challenges and Perspective Solutions |
Rich Wise, Lam Research, US | |
**14:05-14:35 | Precise Etching Profile Control by Atomic-Scale Process |
Yoshihide Kihara, TEL, Japan | |
**14:35-15:05 | Boosting Computational Lithography Performance with High Speed Metrology and Machine Learning Technology |
Gary Zhang, ASML-Brion | |
15:05-15:20 | Coffee Break |
|
Meeting Room: 3C+3D
Session II: Advanced Patterning
Session Chair: Ying Zhang
*15:20-15:50 | EUV SADP SAB integration scheme for sub 30-nm pitch Mx patterning |
Kiyotaka Imai, TEL, Japan | |
*15:50-16:20 | Defect Control & Yield Improvement Using Advanced Filtration for Etch and Clean |
David Huang, Pall (China) Co.,Ltd. | |
*16:20-16:50 | Modeling and simulation approaches to atomistic control in etch and deposition processes: the role of surface modification and the catalytic nature of hydrogen |
Peter Ventzek, TEL, US | |
Tuesday, March 19, 2019 Shanghai International Convention Center
Meeting Room: 3C+3D
Session III: FEOL/MOL Etching
Session Chair: Tom Ni
8:45-9:00 | Feature benefit of synchronous RF pulsing on ICP etch |
Jie Zhang, Xiaobei Pang, Kui Zhao, Zhenhua Geng, Zhiqiang Liu, Shenjian Liu, Tom Ni, AMEC | |
*9:00-9:30 | Advanced Si Etch System for 14nm and beyond |
Tomoki Suemasa, Beijing NAURA Microelectronics Equipment Co., Ltd | |
9:30-9:45 | A Study of Deep Silicon Etching for Power Device Fabrication |
Wan Yu, Chun Wang, Yunge Zhang, Chunlong Qiu, Youshan Zheng, Dongsan Li, Naura | |
9:45-10:00 | Advanced Non-plasma Based Dry Etching for Both Logic and Memory |
Jun Lin, TEL, Japan | |
10:00-10:15 | Coffee Break |
Session IV: Plasma Source and Wet Etch/Clean
Session Chair: Tom Ni
*10:15-10:45 | Development of A High Performance and Productivity ICP Etcher |
Songlin Xu, AMEC | |
*10:45-11:15 | Single Wafer Clean Challenges and Status |
David Wang, ACM | |
11:15-11:30 | Cryogenic Nano-Aerosol Particle Removal and Damage-Free Cleaning for IC Manufacturing |
Jeffrey Michael Lauerhaas, Gregory P. Thomes, Brent D. Schwab, Christina Ann Rathman, Jeffery W. Butterbaugh, Chimaobi Mbanaso, TEL FSI | |
Antonio L. P. Rotondaro, Derek W. Bassett, Tokyo Electron America, Inc. | |
11:30-11:45 | A full solution for challenges in high aspect ratio etch of ICP etchers |
Kui Zhao, Hiroshi Iizuka, Tom Ni, AMEC | |
11:45-12:00 |
Inductive Coupled Downstream Plasma CD Trim Process Stability Study |
Yali Fu, Peking University, Mattson Technology | |
Shawming Ma, Linda Sui, Lu Chen, Vijay Vaniapura, Li Diao, Mingjie Jiao, Hongwei Chen, Mattson Technology | |
Yi Wang, Peking University | |
12:00-13:30 | Lunch Break |
Session V: BEOL Etching and Memory Etch
Session Chair: Jianping Zhao
*13:30-14:00 | A Patterning Solution for Perpendicular STT-MRAM by Utilizing Combined Etching |
Dongchen Che, Leuven Instruments | |
*14:00-14:30 | Advanced Device Challenges and the Solution from Etch |
Joydeep Guha, AMAT |
|
*14:30-15:00 | Challenges & Opportunities of Chamber Materials For Chamber Productivity Enhancement |
Jennifer Sun, AMAT | |
15:00-15:15 | Selective plasma etching of silicon nitride over silicon dioxide by tuning helium |
Ke Liu, Li Qi, Zhongwei Jiang, Xiaoyang Cheng, Yahui Huang, Naura | |
15:15-15:30 | Coffee Break |
Session VI: ALE and Patterning
Session Chair: Yahui Huang
*15:30-16:00 | Atomic level surface treatment by down-stream plasma generated radicals |
Hua Chung, Mattson Technology | |
*16:00-16:30 | Towards the New Understanding of VHF Plasma Uniformity: Measurements of RF Magnetic Fields and Plasma Conduction Current in VHF Plasma Sources |
Jianping Zhao, TEL | |
16:30-16:45 | Enabling 3D and High-Aspect Ratio Etch Technologies Through on-Chamber Process Vacuum |
Adam Stover, Dawn Stephenson, Declan Scanlan, Mick Hegarty, Edwards Technology, US | |
16:45-17:00 | Reactive-ion etching of Cr-DOPED Sb2Te3 THIN FILM in SF6/O2 plasma for non-volatile phase-change memories |
Luguang Wang, Fang Wang, Yuxiang Li, Jinrong Huang, Wei Li, Kailiang Zhang, Tianjin University of Technology, China | |
17:00-17:15 | Estimating the Etching Depth Limit in Deep Silicon Etching |
Yuanwei Lin, Naura | |
Poster Session: | Location: 5th Floor |
Coffee Break | Photoresist Trimming in Inductively Coupled HBr/O2 plasma |
Zhenhua Geng, AMEC | |
Novel Electrode Material Development for 10nm node and Beyond Application Plasma Etch | |
Yanliang Wang, Derrick Hsiao, Steven Zhang, Mark Huang, Zhaoxiang Wang, Jeremy Chang, Xingcai Su, Steve Mak, Tom Ni, AMEC | |
NH3 Chemistry in Organic BARC Etch for 10nm and beyond applications | |
Manson Huang, Veronica Wang, Zhaoxiang Wang, Michael Tsai, Xingcai Su, Steve Mak, Tom Ni, AMEC | |
Silicon nitride and silicon etching performance of CxHyFz plasma diluted with argon or krypton | |
Weina Xu, Abin Qin, Bing Zhao, Chao Sun, Xingcai Su, AMEC | |
Study of plug etching back with CO/O2 plasma for dense-ISO via recess loading tunning | |
Xiaobing Liu, Manson Huang, Michael Tsai, Zhaoxiang Wang, Xingcai Su, AMEC | |
A novel method to achieve excellent oxide coating for chamber components using Atomic Layer Deposition technique | |
Fangcheng Cao, Shenghua Zhu, Jiao Duan, Sheng Guo, Xingjian Chen, AMEC | |
Improvement of Line Width Roughness and Line Edge Roughness for Ultrascaled FinFET Technologies | |
XIN JIANG, SMIC | |
Use of customized waveform RF bias source in double-frequency etch tool | |
Ivan Bizyukov, R. Ye, J. Liang, S. Yavelberg, T.Ni, AMEC | |
Study on Wafer De-chuck for High Aspect Ratio Etch Process | |
Guorong Li, Peking University, AMEC | |
Zhiqiang Liu, Michael Tsai, Zhaoxiang Wang, Ping Liu, AMEC | |
Xing Zhang, Peking University | |
Tunable SiGe and Si Selective Etch in 5nm and Below Applications | |
Chien-Pin Sherman Hsu, Avantor | |
Influence of the secondary phase in 6061 alloy on the corrosion resistance of anodic coatings | |
Sheng Guo, Xingjian Chen, AMEC | |
Optimize of High-k Capping Layer Loss Thickness in DPRM Process to Avoid Vth Shift | |
Meng Shi,Cheng Liu, University of Chinese Academy of Sciences | |
Haiyang Mao, Institute of Microelectronics of Chinese Academy of Sciences | |
Haitao Yan, Baodong Han, Guangmei Xia, SMIC | |
Line edge roughness improvement by plasma treatment in nanoscale etching for double patterning process | |
Kun Zhang, AMEC | |
High Aspect Ratio Poly Hard Mask Open for DRAM 2x Manufacture | |
Shiqi Deng, AMEC | |
Uniform and Circular Channel Hole in High Aspect Ratio Carbon Mask for 3D NAND Flash | |
Yang Li, AMEC | |
High selectivity chemistry dry etch - CSTIC 2019-Song Huang | |
Song Huang, Ying Huang, Applied Materials | |
Switchable VHF bias RF advantage on inductive coupled plasma etch | |
Jie Zhang, Sisheng Cao, Kui Zhao, Xiaobei Pang, Zhiqiang Liu, Shenjian Liu, Tom Ni, AMEC | |
The Application of_High Density Plasma Etch Equipment in RF and Power Devices Manufacture | |
Yiming Zhang, Chun Wang, Yunrui He, Renzhi Yuan, Qiushi Xie, Lijian Liu, Dongsan Li, NAURA Technology Group Co., Ltd. | |
The Study of Various Profile for Dielectric Etch Process | |
Zhang Yu, Lian Qingqing, Shen Tao, Jiang Zhongwei, Huang Yahui, NAURA Technology Group Co., Ltd. | |
Trench and Back-side Via Etching of SiC for Device Fabrication | |
Yunrui He, Chun Wang, Yiming Zhang, Haimao Zhang, Qiushi Xie, Lijian Liu, Dongsan Li, NAURA Technology Group Co., Ltd. | |
A Study of Polymer related Peanut-Shape Via formation in Dry etch process | |
Xinruo Su, Le Yang, Yuan Li, Changhuo Liu, Jun Wang, SMNC |