(** to designate keynote talk, * to designate invite talk)

Sunday, March 11, 2018 Shanghai International Convention Center

Joint Session: Symposium II and Symposium III-Lithography/Etch joint session
Meeting Room:3rd Floor Yellow River Hall 黄河厅
Session Chairs: Kafai Lai/Ying Zhang

13:30-13:35 Opening Remarks
  Kafai Lai / Ying Zhang
**13:35-14:05 Patterning Roadmap
  Rich Wise, Lam Research
**14:05-14:35 Patterning challenges and opportunities for Advanced Memory Technology
  Gill Lee, AMAT
*14:35-14:55 Desirable material selection on Self-aligned Multi-patterning
  Hidetami Yaegashi, TEL
14:55-15:10 Coffee Break
 

Session II: Advanced Patterning


Meeting Room: 3C+3D
Session Chair: Ying Zhang (AMAT)

*15:10-15:40 Patterning for beyond 14nm nodes
  Lei Zhong, AMAT
*15:40-16:10 Atomic Layer Etch Modeling for Advanced Patterning
  Peter Ventzek, TEL, US
*16:10-16:40 SAQP and SAOP for 5nm nodes and beyond
  Efrain Altamirano Sanchez, IMEC
16:40-16:55 Innovative Bias Power Control for IED in FEOL Etch
  Denis Shaw, AE
   
Poster Session: Location: 5th Floor
Coffee Break The Development of Novel STI Spacer in an EPI Free SiGe BiCMOS Process
  Donghua Liu, HHGrace
  Fabrication of Silicon Nanopores with Tunable Shapes and Sizes
  Qi Chen, Tsinghua University
  Active ion-trajectory control at the wafer extreme edge
  Kui Zhao, AMEC
  Study of Plug Etch Back Process Matching on Faraday Shielded ICP and Traditional CCP Plasma Etch Chambers
  Yali Fu, Mattson Technology
  The source/drain pull back with novel plasma approach in reactive ion etch and chemical downstream etch for high-quality SiGe epitaxy layer
  Shaoxiong Liu, SMIC
  De-wetting model study on spinning substrate – Challenges for low chemical consumption
  Christian Haigermoser, Lam Research
  The Application of Pulsed Capacitively Coupled Plasmas Etching for Trench Contact Process
  Zhidong Wang, SMIC
  Challenges and Approach of Advanced Material in Plasma Etching
  Xingjian Chen, AMEC
  Germanium Compatible Photoresist Strippers and Residue Cleaners For 5nm and Below Technology Nodes
  Chien-Pin Sherman Hsu, Avantor
  Hydrogen Ash Process for Si Substrate Oxidation
  QIUHUA HAN, SMIC
  The Improvement of LWR and LER for Advanced Multi-Patterning Processes
  Xin Jiang, SMIC
  Study of AA-Etch Mask Open Process at Topography Boundary Area
  Han Peng, SMIC
  Inductively Coupled Plasma Etching vs Remote Plasma Etching for Oxide Etch Selectivity Improvement
  Zi Wang, SMIC
  A STUDY OF POST ETCH TREATMENT IN GATE ETCH PROCESS
  Rongyao Chang, SMIC
  Dynamic Thin Layer Double-chamber Wet Processing Equipment for Small Size Wafer
  Jason.Yin, Huaying Research Co., Ltd.
  The Sidewall Profile Control of Fin Recess at P-MOS
  Yawu Pan, SMIC
  Al Bevel Etch CIP for 28nm HK Products
  Bochen Zhong, SMIC
  Inversed Trapezoidal Dummy Gate Profile for FinFETs
  Yuchen Li, SMIC
  Coping the depth loading in high aspect ratio etching
  Stephen Liou, Lam Research
  Mega-sonic Effect on Sub-Resolution Assist Features during Photomask Cleaning
  Sophia Xue, SMIC
  A Study of the Advanced Plasma Etching Scheme on Metal Recess
  WUTAO TU, SMIC
  The Top Hard-Mask Loss Reduction at High Aspect Ratio Spacer Etch
  DongPing_Zhang, SMIC
  Dry Strip Assisted Reduction of High Aspect Ratio Silicon Feature Bending Post Wet Clean
  Dongyang Yu, Lam Research
  Application of CF4 Chemistry for Removing TiN/High-K Footing Residual
  Yiling Sun, Lam Research
  Chamber Wall Surface Properties Induced Change of Etching Performance
  Tongxun Guo, Lam Research
  Application of Plasma Pulsing in a Metal Gate Etch Back Process
  Tianyin Sun, Lam Research
  Top profile control of HARC etching
  Yichuan Zhang, AMEC
  Self-aligned Contact Etch Process Optimization with Pulsing Plasma Technology
  Erhu Zheng, SMIC
  Fast model building for PTD Source Mask Optimization (SMO) calibrated by dose tuning
  Song Bai, SMIC
  The Remaining Loading Control of Fin Cut Process
  Qian Tao, SMIC
  Analysis of Reversed Depth Micro-loading Based on Pulsed Plasma
  Chen Guodong, NAURA
  The Study of non-Bosch Deep Trench Etch Process
  Wang Jing, NAURA


Monday, March 12, 2018 Shanghai International Convention Center
Meeting Room: 3C+3D

Session III: FEOL/MOL Etching
Session Chair: Tom Ni (AMEC)


8:45-9:00 The Study of STI Etching Micro-loading in Reactive Ion Etch (RIE)
  Yunhe Dong, NAURA
*9:00-9:30 Patterning Technology Options for Future Scaling
  Kenjiro Nawa, TEL, Japan
9:30-9:45 Dummy Gate Critical Dimension Uniformity Improvement for 14nm and Beyond
  Jie Luo, SMIC
9:45-10:00 Challenges and Optimization Approach in plasma etching with EUV lithography
  Xiaowen Wang, AMEC
10:00-10:15 Coffee Break
   

Session IV: Plasma Source and Wet Etch/Clean
Session Chair: Tom Ni (AMEC)


*10:15-10:45 Resist Strip Technology for Advanced Technology Nodes
  Shawming Ma, Mattson Technology
*10:45-11:15 Advanced Etch Technology for Patterning 14nm and beyond
  Ying Huang, AMAT, China
11:15-11:30 Zero Lag Dispense to Increase the Etching Uniformity in a Single Wafer Wet Cleaner
  Wei Liu, NAURA
11:30-11:45 Study of Downstream CF4 Contained Plasma Process Impact on Chamber Condition
  Yali Fu, Mattson Technology
11:45-12:00 High Aspect Ratio Carbon Mask Open for 3D NAND Memory Manufacture
  Yang Li, AMEC
12:00-13:30 Lunch Break
   

Session V: BEOL Etching and Memory Etch
Session Chair: Shenjian Liu


*13:30-14:00 Memory Patterning Roadmaps
  Siva Kanakasabapathy, Lam Research, US
*14:00-14:30 A High Performance Patterning Solution by Utilizing Combined Etching for Perpendicular STT-MRAM
  Kaidong Xu, Leueven Instruments
*14:30-15:00 Advanced Selective Dry Etching of Silicon Based Materials and Cobalt
  Jun Lin, TEL, Japan
15:00-15:15 A Study on CD Variation Control of Advanced LELE MHM etch process in BEOL
  Da Lin Yao, SMIC
15:15-15:30 Coffee Break
   

Session VI: ALE and Patterning
Session Chair: Jingrong Zhao (NMC)


*15:30-16:00 Benefits of atomic-level processing by Quasi-ALE and ALD technique
  Masanobu Honda, TEL, Japan
*16:00-16:30 Major Challenges and Proposed Solutions for Critical Etch Applications with Inductive Coupled Plasma
  Shenjian Liu, AMEC
16:30-16:45 Study of very high selective downstream plasma etching mechanisms, for high aspect ratio patterns
  Emilie PREVOST, STMicroelectronics and Laboratoire des Technologies de la Microélectronique
16:45-17:00 Advanced Techniques for Enhanced Ion Beam Etch Uniformity
  David Lishan, Plasma-Therm LLC
17:00-17:15 A Novel Post Etch/Ashing Residue Remover for Copper Damascene Process
  Sunny He, Anji Microelectronics (Shanghai) Co.,Ltd.