(** to designate keynote talk, * to designate invite talk)

Sunday, March 11, 2018 Shanghai International Convention Center
Meeting Room: 5A

Session I: Front end CMP technology
Session Chair: Yuchun Wang


**13:30-14:00 CMP Challenges to Keep Up with Moore's Law
  Gary Ding, Intel Corporation
*14:00-14:25 Middle of Line Contact for Advanced Node Semiconductor: from Tungsten to Cobalt
  Stan Tsai, GLOBALFOUNDRIES US
*14:25-14:50 Defect control for high k metal gate CMP
  Changhong Gong, Huali Microemectronics
14:50-15:15 New CMP Processes Development and Challenges for 7nm and Beyond
  Huang Haigou, GLOBALFOUNDRIES US
15:15-15:30 Coffee Break
   


Session II: Front end CMP consumables
Session Chair: Jingxun Fang


*15:30-15:55 ALD W metal gate CMP
  Tao Yang, IME
15:55-16:10 STI Scratch Defects Reduction by Using Solid PAD in 1x Technology Node
  Tuung Luoh, Macronix International Co., Ltd
16:10-16:25 Low scratch high throughput auto stop slurry
  Jinfeng Wang, Cabot Microelectronics
16:25-16:40 Characterization of Lanthanide Elements Doped Ceria Nanoparticles and Its Performance in Chemical Mechanical Polishing as Novel Abrasive Particles
  Jie Cheng, Tsinghua University
   
Poster Session: Location: 5th Floor
Coffee Break CMP PAD SURFACE UNIFORMITY OPTIMIZATION AFTER POLISH
  Ying Lu, SMIC
  AMAT Reflexion® LK PrimeTM--- Flexible and Extendible CMP Platform
  Neil Zhong, AMAT
  Process Optimization for Multiple Wire Sawing of Semiconductor Material
  ZHICHAO LI, North Carolina Agricultural & Technical State University
  An Investigation into Semiconductor Wafer Surface Waviness in Chemical Mechanical Polishing
  ZHICHAO LI, North Carolina Agricultural & Technical State University
  Development of a Standard Evaluation System to Characterize and Quantify Pad Foam Morphology for Chemical Mechanical Polishing (CMP)
  ZHICHAO LI, North Carolina Agricultural & Technical State University
  Application of in-situ pad thickness profile control in WCMP
  Changxing Tan, Applied Materials


Monday, March 12, 2018 Shanghai International Convention Center
Meeting Room: 5A

Session III: Backend, memory, and packaging CMP
Session Chair: Jin-Goo Park


**8:30-9:00 CMP Challenges for Interconnect Scaling
  Donald F Canaperi, IBM
*9:00-9:25 CMP Challenges for Advanced Logic and Memory Device Manufacturing
  Sidney Huey, Applied Materials
*9:25-9:50 CMP New Challenges in 3D NAND Era
  Ke K. Wang, Intel Dalian
*9:50-10:15 CMP technology for advanced packaging
  Haedo Jeong, Pushan University
10:15-10:30 Study of Electro Kinetic-Force Assisted CMP of Glass Wafer
  Chao-Chang Chen, National Taiwan University of Science and Technology
10:30-10:45 Coffee Break
   

Session IV: CMP equipment and metrology
Session Chair: David Huang


*10:45-11:10 Continuous Process Control for Metal CMP
  Jianshe Tang, Applied Materials
*11:10-11:35 New CMP tool Development and Its Applications
  Dewen Zhao, Tsinghua University
*11:35-12:00 From Confined Area to Wafer Level Nanotopography Metrology Solution for Process Developments
  Tae-Gon Kim, IMEC
12:00-12:15 INVESTIGATION OF EFFECTS OF PATTERN STRUCTURES ARRANGEMENT ON CHEMICAL MECHANICAL POLISHING PROCESS
  Lixiao Wu, Lanzhou University of Technology
12:15-13:45 Lunch Break
   

Session V: CMP consumables
Session Chair: KC Wu


*13:45-14:10 Slurry Filtration for CMP Defect Improvement
  David Huang, Pall and Anji
*14:10-14:35 Co-optimization of CMP Pad and Slurry for Overall Process Performance Enhancement
  Robert Auger, Dow
*14:35-15:00 Colloidal Silica: Chemistry, Properties and Adaptations for Electronic Polishing Applications
  Francois Batllo, Nalco
*15:00-15:25 Slurry development in sapphire,SiC, Si, and LiTaO3
  Weili Liu, Shanghai Institute of Microsystem and Information Technology
15:25-15:40 Coffee Break
   

Session VI: Process optimization and modelling
Session Chair: Xinchun Lu


15:40-15:55 Novel CMP Pads by Special Structural Design
  Min Liu, Hubei DingHui Microelectronics Materials Co.,Ltd
15:55-16:10 A theoretical study of optimization for pad consumption in a CMP process
  Ji Ming Jiang, Suzhou IV Technologies, Co.Ltd
16:10-16:25 Analysis of Varied Pad Surfaces by Conditioners and Their Performance on RR and Defect in Oxide CMP
  Yu-Hao Pan, Suzhou IV Technologies, Co.Ltd
16:25-16:40 Highly Accurate Profile Control via Application of Multi-Zone Polish Head for 200mm Thin-Film SOI CMP
  Mike Liu, Applied Materials
16:40-16:55 3M next Generation Filter in CMP Filtration
  Garry Wang, 3M